عرض بسيط للتسجيلة

المؤلفZhai, Xiaojun
المؤلفBensaali, Faycal
المؤلفMcDonald-Maier, Klaus
تاريخ الإتاحة2022-12-29T07:34:46Z
تاريخ النشر2013
اسم المنشورProceedings of the IEEE International Conference on Electronics, Circuits, and Systems
المصدرScopus
معرّف المصادر الموحدhttp://dx.doi.org/10.1109/ICECS.2013.6815420
معرّف المصادر الموحدhttp://hdl.handle.net/10576/37858
الملخصAutomatic Number Plate Recognition (ANPR) systems have become one of the most important components in the current Intelligent Transportation Systems (ITS). In this paper, a FPGA implementation of a complete ANPR system which consists of Number Plate Localisation (NPL), Character Segmentation (CS), and Optical Character Recognition (OCR) is presented. The Mentor Graphics RC240 FPGA development board was used for the implementation, where only 80% of the available on-chip slices of a Virtex-4 LX60 FPGA have been used. The whole system runs with a maximum frequency of 57.6 MHz and is capable of processing one image in 11ms with a successful recognition rate of 93%. 2013 IEEE.
اللغةen
الناشرInstitute of Electrical and Electronics Engineers Inc.
الموضوعIntelligent systems
Optical character recognition
Automatic number plate recognition
Automatic Number Plate Recognition systems
Character segmentation
FPGA implementations
Intelligent transportation systems
Maximum frequency
Mentor Graphics
Optical character recognition (OCR)
Automatic vehicle identification
العنوانAutomatic number plate recognition on FPGA
النوعConference Paper
الصفحات325-328


الملفات في هذه التسجيلة

الملفاتالحجمالصيغةالعرض

لا توجد ملفات لها صلة بهذه التسجيلة.

هذه التسجيلة تظهر في المجموعات التالية

عرض بسيط للتسجيلة