عرض بسيط للتسجيلة

المؤلفZhai, Xiaojun
المؤلفAli, Amine Ait Si
المؤلفAmira, Abbes
المؤلفBensaali, Faycal
تاريخ الإتاحة2021-09-05T05:40:13Z
تاريخ النشر2016
اسم المنشورIEEE Access
المصدرScopus
الرقم المعياري الدولي للكتاب21693536
معرّف المصادر الموحدhttp://dx.doi.org/10.1109/ACCESS.2016.2619181
معرّف المصادر الموحدhttp://hdl.handle.net/10576/22685
الملخصSystems based on wireless gas sensor networks offer a powerful tool to observe and analyze data in complex environments over long monitoring periods. Since the reliability of sensors is very important in those systems, gas classification is a critical process within the gas safety precautions. A gas classification system has to react fast in order to take essential actions in the case of fault detection. This paper proposes a low latency real-time gas classification service system, which uses a multi-layer perceptron (MLP) artificial neural network to detect and classify the gas sensor data. An accurate MLP is developed to work with the data set obtained from an array of tin oxide (SnO2) gas sensor, based on convex micro hotplates. The overall system acquires the gas sensor data through radio-frequency identification (RFID), and processes the sensor data with the proposed MLP classifier implemented on a system on chip (SoC) platform from Xilinx. Hardware implementation of the classifier is optimized to achieve very low latency for real-time application. The proposed architecture has been implemented on a ZYNQ SoC using fixed-point format and the achieved results have shown that an accuracy of 97.4% has been obtained. 2013 IEEE.
اللغةen
الناشرInstitute of Electrical and Electronics Engineers Inc.
الموضوعArtificial neural network
FPGA
gas identification
system on chip (SoC)
ZYNQ
العنوانMLP Neural Network Based Gas Classification System on Zynq SoC
النوعArticle
الصفحات8138-8146
رقم المجلد4


الملفات في هذه التسجيلة

Thumbnail

هذه التسجيلة تظهر في المجموعات التالية

عرض بسيط للتسجيلة